China's CPU rival to Intel and AMD is rapidly catching up on a key metric — but don't expect it to be competitive with Ryzens and Cores anytime soon

 Loongson 3A6000 CPU.
Loongson 3A6000 CPU.

You might not be too familiar with Loongson, but it has been developing computer processors since 2000, and in China the company is widely viewed as the grandfather of domestic CPUs.

The launch of Loongson 1 in 2002 was China's first independently developed general-purpose CPU, using the MIPS III instruction set. in 2020 Loongson transitioned from the MIPS instruction set to its independent instruction set, LoongArch (which is widely viewed as being a clone of MIPS). The first LoongArch-supported processor, the 3A5000, debuted in 2021, marking the beginning of the Loongson ecosystem's Dragon architecture era.

The 3A6000, a follow-up product of the 3A5000, is the second-generation processor using the LoongArch instruction set. Fabricated on a 12nm process it has four cores and eight threads capable of boosting to 2.5GHz under a 50 watt TDP. It has an L2 cache of 256KB and an L3 cache of 16MB, and is compatible with DDR4-3200 RAM.

Hit and miss performance

In a recent video review by Geekerwan (Geek Bay), Loongson's 3A6000 demonstrated substantial progress in its Instructions Per Cycle (IPC), nearly on par with the latest architectures from Intel and AMD. While it still lags behind the latest x86 and Arm CPUs in raw performance, the high IPC suggests a promising future, provided Loongson can attain higher frequencies.

In SPEC 2017's integer and floating point performance test with all CPUs locked to 2.5GHz, Loongson's chip impressed. It surpassed the Zen 3-based Ryzen 9 5950X and was just slightly behind the Zen 4-powered Ryzen 9 7950X and Raptor Lake Core i9-14900K. Tom’s Hardware has more on the test results.

However, the 3A6000 can't truly harness its impressive IPC due to its low clock speed and its limitations in core count and cache size. The company's next-generation 3A7000, rumored to use a 7nm process, may improve on these points, potentially boosting clock speeds and allowing for more cores and more cache.

While the 3A6000 demonstrates considerable progress, it still falls behind Intel and AMD's performance when operating at silent frequencies above 5GHz. However, the rapid improvement in Loongson's architecture design, coupled with its high IPC, suggests a promising future for China's homespun CPU.

More from TechRadar Pro